CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga verilog SD卡 读写

搜索资源列表

  1. SD控制器和SPI控制器

    1下载:
  2. 基于fpga的verilog 收到卡读写程序
  3. 所属分类:源码下载

    • 发布日期:2012-01-24
    • 文件大小:4779139
    • 提供者:lvlovelive
  1. sd_ctrl

    1下载:
  2. Verilog写的基于FPGA的SD卡的读写程序,能够读出SD卡中存储的数据-Write Verilog FPGA-based SD card reader program, it is possible to read out the data stored in the SD card
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-10
    • 文件大小:1654244
    • 提供者:wangjiali
  1. sd_test

    0下载:
  2. Verilog语言编写的基于SPI总线的micro SD卡读写程序(SD card access based on FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:2487296
    • 提供者:紫帝
  1. sdcard

    1下载:
  2. 使用fpga实现对sd卡的读写,仅有源码,纯verilog编写,完全通用(Using FPGA to read and write SD card, only source code, pure verilog, completely universal)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-06-08
    • 文件大小:13312
    • 提供者:lison88
搜珍网 www.dssz.com